Bug Detector
[Verilog] "ERROR:Xst:528 - Multi-source in Unit" 해결